From 65ccc4c913a2e737ce8bf37d2e0bcad95c221e61 Mon Sep 17 00:00:00 2001 From: Tianling Shen Date: Mon, 9 Jan 2023 15:08:16 +0800 Subject: [PATCH] xray-core: Update to 1.7.2 Signed-off-by: Tianling Shen --- net/xray-core/Makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/net/xray-core/Makefile b/net/xray-core/Makefile index 5fb124f6e1..2e502157e3 100644 --- a/net/xray-core/Makefile +++ b/net/xray-core/Makefile @@ -1,12 +1,12 @@ include $(TOPDIR)/rules.mk PKG_NAME:=xray-core -PKG_VERSION:=1.7.0 +PKG_VERSION:=1.7.2 PKG_RELEASE:=1 PKG_SOURCE:=$(PKG_NAME)-$(PKG_VERSION).tar.gz PKG_SOURCE_URL:=https://codeload.github.com/XTLS/Xray-core/tar.gz/v$(PKG_VERSION)? -PKG_HASH:=6dbf3d9103e62f9e72b7ac231e1d5a65e2a5c40810500a7e757a4ef71dcc32fd +PKG_HASH:=e35824e19e8acc06296ce6bfa78a14a6f3ee8f42a965f7762b7056b506457a29 PKG_MAINTAINER:=Tianling Shen PKG_LICENSE:=MPL-2.0